1 Frage zu Generics

Frage stellen und sofort Antwort erhalten

Fragen und Antworten zum Thema Generics

Wie werden Generics in VHDL verwendet?

In VHDL (VHSIC Hardware Description Language) werden Generics verwendet, um Parameter an Module (Entities) zu übergeben, die zur Synthesezeit festgelegt werden können. Dies ermöglicht d... [mehr]